$30
HW1: (ILOs: III)
Points:10
Mathematically, we can define the n-th Fibonacci number as the sum of the (n-1)-th and (n-2)-th.
Given the following entity declaration for a Fibonacci Calculator, complete the architecture to provide a behavioral description for the Fibonacci calculator.
entity Fibonacci_Calculator is
port ( clk : in std_logic;
data_in : in std_logic_vector (3 downto 0); load_enable : in std_logic; data_out : out std_logic_vector (9 downto 0)); end Fibonacci_Calculator;
architecture behavioral of Fibonacci_Calculator is